我看夏 宇闻老师的书第97页有个例子,我打算做一下,可是不成功

代码:
  1. `timescale 1 ns/ 1 ps
  2. module LAMP_vlg_tst();
  3. // constants
  4. // general purpose registers
  5. reg eachvec;
  6. // test vector input registers
  7. reg clk;
  8. reg rst_n;
  9. // wires
  10. wire led;
  11. // assign statements (if any)
  12. LAMP i1 (
  13. // port map - connection between master ports and signals/registers
  14. .clk(clk),
  15. .led(led),
  16. .rst_n(rst_n)
  17. );
  18. module M;
  19. initial
  20. $display("Displaying in %m");
  21. endmodule
  22. module top;
  23. M m1();
  24. M m2();
  25. M m3();
  26. endmodule
  27. initial
  28. $dumpfile("myfile.dmp");
  29. initial
  30. $dumpvars;
  31. initial
  32. $dumpvars(1,top); //?
  33. initial
  34. $dumpvars(2,top.m1);
  35. initial
  36. $dumpvars(0,top.m1);
  37. initial begin
  38. $dumpon;
  39. #100000 $dumpoff;
  40. end
  41. initial
  42. $dumpall;
  43. endmodule
提示出错
4.jpg
其实就是两句话有问题
$dumpvars(1,top);
还有一句
$dumpall;
请个高手赐教,谢谢!